Timing Report
Lattice Timing Report -  Setup  and Hold, Version Radiant Software (64-bit) 2023.1.1.200.1

Mon Oct  9 09:49:38 2023

Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp.   All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
Copyright (c) 2001 Agere Systems   All rights reserved.
Copyright (c) 2002-2023 Lattice Semiconductor Corporation,  All rights reserved.

Command line:    timing -sethld -v 10 -u 10 -endpoints 10 -nperend 1 -sp 9_High-Performance_1.0V -hsp m -pwrprd -html -rpt LAB01_Async_rst.twr LAB01_Async_rst.udb -gui

-------------------------------------------
Design:          Top
Family:          LFCPNX
Device:          LFCPNX-100
Package:         LFG672
Performance:     9_High-Performance_1.0V
Package Status:                     Final          Version 16
Performance Hardware Data Status :   Final Version 3.9
-------------------------------------------


=====================================================================
                    Table of Contents
=====================================================================
  • 1 DESIGN CHECKING
  • 1.1 SDC Constraints
  • 1.2 Constraint Coverage
  • 1.3 Overall Summary
  • 1.4 Unconstrained Report
  • 1.5 Combinational Loop
  • 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees
  • 2.1 Clock Summary
  • 2.2 Endpoint slacks
  • 2.3 Detailed Report
  • 3 Hold at Speed Grade m Corner at 0 Degrees
  • 3.1 Endpoint slacks
  • 3.2 Detailed Report
  • ===================================================================== End of Table of Contents ===================================================================== 1 DESIGN CHECKING 1.1 SDC Constraints create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] create_clock -name {rvltck} -period 33.33 -waveform {0.000 16.665} [get_ports TCK] set_clock_groups -group [get_clocks clk150] -group [get_clocks rvltck] -asynchronous 1.2 Constraint Coverage Constraint Coverage: 93.7094% 1.3 Overall Summary Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns Hold at Speed Grade m Corner at 0 Degrees Timing Errors: 0 endpoints; Total Negative Slack: 0.000 ns 1.4 Unconstrained Report 1.4.1 Unconstrained Start/End Points Clocked but unconstrained timing start points ------------------------------------------------------------------- Listing 4 Start Points | Type ------------------------------------------------------------------- LED4_0io.PIC_inst/Q | No required time LED3_0io.PIC_inst/Q | No required time LED2_0io.PIC_inst/Q | No required time LED1_0io.PIC_inst/Q | No required time ------------------------------------------------------------------- | Number of unconstrained timing start po | ints | 4 | ------------------------------------------------------------------- Clocked but unconstrained timing end points ------------------------------------------------------------------- Listing 10 End Points | Type ------------------------------------------------------------------- CNT01/Couti_reg[15].ff_inst/LSR | No arrival time {CNT01/Couti_reg[13].ff_inst/LSR CNT01/Couti_reg[14].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[11].ff_inst/LSR CNT01/Couti_reg[12].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[9].ff_inst/LSR CNT01/Couti_reg[10].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[7].ff_inst/LSR CNT01/Couti_reg[8].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[5].ff_inst/LSR CNT01/Couti_reg[6].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[3].ff_inst/LSR CNT01/Couti_reg[4].ff_inst/LSR} | No arrival time {CNT01/Couti_reg[1].ff_inst/LSR CNT01/Couti_reg[2].ff_inst/LSR} | No arrival time CNT01/Couti_reg[0].ff_inst/LSR | No arrival time CNT02/Couti_reg[15].ff_inst/LSR | No arrival time ------------------------------------------------------------------- | Number of unconstrained timing end poin | ts | 42 | ------------------------------------------------------------------- 1.4.2 Start/End Points Without Timing Constraints I/O ports without constraint ---------------------------- Possible constraints to use on I/O ports are: set_input_delay, set_output_delay, set_max_delay, create_clock, create_generated_clock, ... ------------------------------------------------------------------- Listing 6 Start or End Points | Type ------------------------------------------------------------------- en | input reset | input LED4 | output LED3 | output LED2 | output LED1 | output ------------------------------------------------------------------- | Number of I/O ports without constraint | 6 | ------------------------------------------------------------------- Nets without clock definition Define a clock on a top level port or a generated clock on a clock divider pin associated with this net(s). -------------------------------------------------- There is no instance satisfying reporting criteria 1.5 Combinational Loop None 2 Setup at Speed Grade 9_High-Performance_1.0V Corner at 85 Degrees 2.1 Clock Summary 2.1.1 Clock "clk150" create_clock -name {clk150} -period 8.88889 [get_pins {OSCA001.OSCA_inst/HFCLKOUT }] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock clk150 | | Period | Frequency ------------------------------------------------------------------------------------------------------- From clk150 | Target | 8.889 ns | 112.500 MHz | Actual (all paths) | 6.285 ns | 159.109 MHz OSCA001.OSCA_inst/HFCLKOUT (MPW) | (50% duty cycle) | 4.354 ns | 229.674 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock clk150 | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From rvltck | ---- | False path ------------------------------------------------------------------------------------------------------ 2.1.2 Clock "rvltck" create_clock -name {rvltck} -period 33.33 -waveform {0.000 16.665} [get_ports TCK] Single Clock Domain ------------------------------------------------------------------------------------------------------- Clock rvltck | | Period | Frequency ------------------------------------------------------------------------------------------------------- From rvltck | Target | 33.330 ns | 30.003 MHz | Actual (all paths) | 15.602 ns | 64.094 MHz jtaghub_inst/IB_inst2.bb_inst/B (MPW) | (50% duty cycle) | 5.000 ns | 200.000 MHz ------------------------------------------------------------------------------------------------------- Clock Domain Crossing ------------------------------------------------------------------------------------------------------ Clock rvltck | Worst Time Between Edges | Comment ------------------------------------------------------------------------------------------------------ From clk150 | ---- | False path ------------------------------------------------------------------------------------------------------ 2.2 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- LED1_0io.PIC_inst/D | 2.604 ns LED3_0io.PIC_inst/D | 3.171 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_97/CE | 3.573 ns LED4_0io.PIC_inst/D | 3.690 ns {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_102/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_103/CE} | 3.822 ns {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_93/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_96/CE} | 3.822 ns {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_82/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_84/CE} | 3.822 ns {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_78/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_80/CE} | 3.822 ns {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_96/CE} | 3.830 ns top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_94/DF | 3.900 ns ------------------------------------------------------- | Setup # of endpoints with negative slack:| 0 | ------------------------------------------------------- 2.3 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT01/Couti_reg[0].ff_inst/Q (SLICE_R14C12A) Path End : LED1_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R28B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 91.4% (route), 8.6% (logic) Clock Skew : 0.084 ns Setup Constraint : 8.888 ns Path Slack : 2.603 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT01/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT01.SLICE_118/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 CNT01/Couti_reg[0].ff_inst/CLK 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT01/Couti_reg[0].ff_inst/Q", "phy_name":"CNT01.SLICE_118/Q1" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/D", "phy_name":"LED1_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT01/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT01.SLICE_118/CLK" }, "pin1": { "log_name":"CNT01/Couti_reg[0].ff_inst/Q", "phy_name":"CNT01.SLICE_118/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_40", "phy_name":"CNT1[0]" }, "arrive":5.405, "delay":2.671 }, { "type":"site_delay", "pin0": { "log_name":"LED1_1_cZ/A", "phy_name":"SLICE_1028/D1" }, "pin1": { "log_name":"LED1_1_cZ/Z", "phy_name":"SLICE_1028/F1" }, "arrive":5.618, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"LED1_1", "phy_name":"LED1_1" }, "arrive":8.502, "delay":2.884 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.502, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CNT01/Couti_reg[0].ff_inst/CLK->CNT01/Couti_reg[0].ff_inst/Q SLICE_R14C12A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_40 NET DELAY 2.671 5.405 4 LED1_1_cZ/A->LED1_1_cZ/Z SLICE_R47C75D CTOF_DEL 0.213 5.618 1 LED1_1 NET DELAY 2.884 8.502 1 LED1_0io.PIC_inst/D 0.000 8.502 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED1_0io.PIC_inst/CLK", "phy_name":"LED1_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.399, "delay":2.511 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.399, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.511 11.399 330 LED1_0io.PIC_inst/CLK 0.000 11.399 1 Uncertainty -(0.000) 11.399 Setup time -(0.294) 11.105 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.105 Arrival Time -(8.501) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 2.603 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT03/Couti_reg[15].ff_inst/Q (SLICE_R60C11A) Path End : LED3_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 90.6% (route), 9.4% (logic) Clock Skew : 0.084 ns Setup Constraint : 8.888 ns Path Slack : 3.170 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT03/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT03.SLICE_128/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 CNT03/Couti_reg[15].ff_inst/CLK 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT03/Couti_reg[15].ff_inst/Q", "phy_name":"CNT03.SLICE_128/Q0" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/D", "phy_name":"LED3_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT03/Couti_reg[15].ff_inst/CLK", "phy_name":"CNT03.SLICE_128/CLK" }, "pin1": { "log_name":"CNT03/Couti_reg[15].ff_inst/Q", "phy_name":"CNT03.SLICE_128/Q0" }, "arrive":2.731, "delay":0.304 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_57", "phy_name":"CNT3[15]" }, "arrive":4.970, "delay":2.239 }, { "type":"site_delay", "pin0": { "log_name":"LED3_1_cZ/B", "phy_name":"SLICE_1030/B1" }, "pin1": { "log_name":"LED3_1_cZ/Z", "phy_name":"SLICE_1030/F1" }, "arrive":5.183, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"LED3_1", "phy_name":"LED3_1" }, "arrive":7.935, "delay":2.752 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.935, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CNT03/Couti_reg[15].ff_inst/CLK->CNT03/Couti_reg[15].ff_inst/Q SLICE_R60C11A REG_DEL 0.304 2.731 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_57 NET DELAY 2.239 4.970 4 LED3_1_cZ/B->LED3_1_cZ/Z SLICE_R49C75B CTOF_DEL 0.213 5.183 1 LED3_1 NET DELAY 2.752 7.935 1 LED3_0io.PIC_inst/D 0.000 7.935 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED3_0io.PIC_inst/CLK", "phy_name":"LED3_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.399, "delay":2.511 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.399, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.511 11.399 330 LED3_0io.PIC_inst/CLK 0.000 11.399 1 Uncertainty -(0.000) 11.399 Setup time -(0.294) 11.105 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.105 Arrival Time -(7.934) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.170 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q (SLICE_R58C68A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_97/CE (SLICE_R57C74D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 69.1% (route), 30.9% (logic) Clock Skew : 0.000 ns Setup Constraint : 8.888 ns Path Slack : 3.572 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK} 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_97/CE", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1559/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.145, "delay":0.411 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/F0" }, "arrive":3.358, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.589, "delay":0.231 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/F1" }, "arrive":3.802, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.394, "delay":0.592 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/F1" }, "arrive":4.607, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":5.032, "delay":0.425 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1744/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1744/F1" }, "arrive":5.245, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_28", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1E" }, "arrive":5.822, "delay":0.577 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1514/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1514/F1" }, "arrive":6.035, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_55", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[3]" }, "arrive":6.609, "delay":0.574 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1548/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1548/F0" }, "arrive":6.822, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_146", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nn94vgdlaet6gy" }, "arrive":7.550, "delay":0.728 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.550, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q SLICE_R58C68A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154 NET DELAY 0.411 3.145 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R58C68A CTOF_DEL 0.213 3.358 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576 NET DELAY 0.231 3.589 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z SLICE_R59C68D CTOF_DEL 0.213 3.802 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157 NET DELAY 0.592 4.394 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R49C68C CTOF_DEL 0.213 4.607 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.425 5.032 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/Z SLICE_R50C69C CTOF_DEL 0.213 5.245 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_28 NET DELAY 0.577 5.822 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/Z SLICE_R57C69C CTOF_DEL 0.213 6.035 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_55 NET DELAY 0.574 6.609 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/Z SLICE_R54C71B CTOF_DEL 0.213 6.822 2 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_146 NET DELAY 0.728 7.550 2 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_97/CE 0.000 7.550 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_97/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1559/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.315, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 11.315 330 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_97/CLK 0.000 11.315 1 Uncertainty -(0.000) 11.315 Setup time -(0.193) 11.122 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.122 Arrival Time -(7.549) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.572 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : CNT04/Couti_reg[0].ff_inst/Q (SLICE_R49C148A) Path End : LED4_0io.PIC_inst/D (SIOLOGIC_CORE_IOL_R31B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 2 Delay Ratio : 89.6% (route), 10.4% (logic) Clock Skew : 0.084 ns Setup Constraint : 8.888 ns Path Slack : 3.689 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"CNT04/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT04.SLICE_145/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 CNT04/Couti_reg[0].ff_inst/CLK 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"CNT04/Couti_reg[0].ff_inst/Q", "phy_name":"CNT04.SLICE_145/Q1" }, "path_end": { "type":"pin", "log_name":"LED4_0io.PIC_inst/D", "phy_name":"LED4_pad.bb_inst_IOL/TXDATA0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"CNT04/Couti_reg[0].ff_inst/CLK", "phy_name":"CNT04.SLICE_145/CLK" }, "pin1": { "log_name":"CNT04/Couti_reg[0].ff_inst/Q", "phy_name":"CNT04.SLICE_145/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_88", "phy_name":"CNT4[0]" }, "arrive":4.578, "delay":1.844 }, { "type":"site_delay", "pin0": { "log_name":"LED4_1_cZ/A", "phy_name":"SLICE_1032/C0" }, "pin1": { "log_name":"LED4_1_cZ/Z", "phy_name":"SLICE_1032/F0" }, "arrive":4.791, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"LED4_1", "phy_name":"LED4_1" }, "arrive":7.416, "delay":2.625 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.416, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CNT04/Couti_reg[0].ff_inst/CLK->CNT04/Couti_reg[0].ff_inst/Q SLICE_R49C148A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_88 NET DELAY 1.844 4.578 4 LED4_1_cZ/A->LED4_1_cZ/Z SLICE_R49C79D CTOF_DEL 0.213 4.791 1 LED4_1 NET DELAY 2.625 7.416 1 LED4_0io.PIC_inst/D 0.000 7.416 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"LED4_0io.PIC_inst/CLK", "phy_name":"LED4_pad.bb_inst_IOL/SCLKOUT" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.399, "delay":2.511 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.399, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.511 11.399 330 LED4_0io.PIC_inst/CLK 0.000 11.399 1 Uncertainty -(0.000) 11.399 Setup time -(0.294) 11.105 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.105 Arrival Time -(7.415) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.689 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q (SLICE_R58C68A) Path End : {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_102/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_103/CE} (SLICE_R49C66C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.5% (route), 32.5% (logic) Clock Skew : 0.000 ns Setup Constraint : 8.888 ns Path Slack : 3.821 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK} 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_102/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_103/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[14].SLICE_730/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.145, "delay":0.411 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/F0" }, "arrive":3.358, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.589, "delay":0.231 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/F1" }, "arrive":3.802, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.394, "delay":0.592 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/F0" }, "arrive":4.607, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o19" }, "arrive":4.906, "delay":0.299 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/F0" }, "arrive":5.119, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7owz" }, "arrive":5.797, "delay":0.678 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/F1" }, "arrive":6.010, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[0]" }, "arrive":6.436, "delay":0.426 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/F0" }, "arrive":6.649, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Ndpgbw4d0CK8JxgJG6b7Eo1CnJkreaFDr" }, "arrive":7.301, "delay":0.652 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.301, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q SLICE_R58C68A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154 NET DELAY 0.411 3.145 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R58C68A CTOF_DEL 0.213 3.358 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576 NET DELAY 0.231 3.589 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z SLICE_R59C68D CTOF_DEL 0.213 3.802 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157 NET DELAY 0.592 4.394 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z SLICE_R49C68C CTOF_DEL 0.213 4.607 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25 NET DELAY 0.299 4.906 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z SLICE_R50C68C CTOF_DEL 0.213 5.119 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24 NET DELAY 0.678 5.797 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z SLICE_R53C69C CTOF_DEL 0.213 6.010 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34 NET DELAY 0.426 6.436 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C->top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z SLICE_R52C68D CTOF_DEL 0.213 6.649 8 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135 NET DELAY 0.652 7.301 8 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_102/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_103/CE} 0.000 7.301 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_102/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[14].SLICE_730/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.315, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 11.315 330 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_102/CLK top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_103/CLK} 0.000 11.315 1 Uncertainty -(0.000) 11.315 Setup time -(0.193) 11.122 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.122 Arrival Time -(7.300) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.821 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q (SLICE_R58C68A) Path End : {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_93/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_96/CE} (SLICE_R49C66D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.5% (route), 32.5% (logic) Clock Skew : 0.000 ns Setup Constraint : 8.888 ns Path Slack : 3.821 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK} 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_93/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_96/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[10].SLICE_726/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.145, "delay":0.411 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/F0" }, "arrive":3.358, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.589, "delay":0.231 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/F1" }, "arrive":3.802, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.394, "delay":0.592 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/F0" }, "arrive":4.607, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o19" }, "arrive":4.906, "delay":0.299 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/F0" }, "arrive":5.119, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7owz" }, "arrive":5.797, "delay":0.678 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/F1" }, "arrive":6.010, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[0]" }, "arrive":6.436, "delay":0.426 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/F0" }, "arrive":6.649, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Ndpgbw4d0CK8JxgJG6b7Eo1CnJkreaFDr" }, "arrive":7.301, "delay":0.652 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.301, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q SLICE_R58C68A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154 NET DELAY 0.411 3.145 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R58C68A CTOF_DEL 0.213 3.358 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576 NET DELAY 0.231 3.589 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z SLICE_R59C68D CTOF_DEL 0.213 3.802 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157 NET DELAY 0.592 4.394 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z SLICE_R49C68C CTOF_DEL 0.213 4.607 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25 NET DELAY 0.299 4.906 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z SLICE_R50C68C CTOF_DEL 0.213 5.119 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24 NET DELAY 0.678 5.797 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z SLICE_R53C69C CTOF_DEL 0.213 6.010 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34 NET DELAY 0.426 6.436 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C->top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z SLICE_R52C68D CTOF_DEL 0.213 6.649 8 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135 NET DELAY 0.652 7.301 8 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_93/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_96/CE} 0.000 7.301 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_93/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[10].SLICE_726/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.315, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 11.315 330 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_93/CLK top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_96/CLK} 0.000 11.315 1 Uncertainty -(0.000) 11.315 Setup time -(0.193) 11.122 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.122 Arrival Time -(7.300) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.821 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q (SLICE_R58C68A) Path End : {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_82/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_84/CE} (SLICE_R49C65C) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.5% (route), 32.5% (logic) Clock Skew : 0.000 ns Setup Constraint : 8.888 ns Path Slack : 3.821 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK} 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_82/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_84/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[4].SLICE_720/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.145, "delay":0.411 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/F0" }, "arrive":3.358, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.589, "delay":0.231 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/F1" }, "arrive":3.802, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.394, "delay":0.592 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/F0" }, "arrive":4.607, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o19" }, "arrive":4.906, "delay":0.299 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/F0" }, "arrive":5.119, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7owz" }, "arrive":5.797, "delay":0.678 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/F1" }, "arrive":6.010, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[0]" }, "arrive":6.436, "delay":0.426 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/F0" }, "arrive":6.649, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Ndpgbw4d0CK8JxgJG6b7Eo1CnJkreaFDr" }, "arrive":7.301, "delay":0.652 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.301, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q SLICE_R58C68A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154 NET DELAY 0.411 3.145 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R58C68A CTOF_DEL 0.213 3.358 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576 NET DELAY 0.231 3.589 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z SLICE_R59C68D CTOF_DEL 0.213 3.802 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157 NET DELAY 0.592 4.394 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z SLICE_R49C68C CTOF_DEL 0.213 4.607 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25 NET DELAY 0.299 4.906 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z SLICE_R50C68C CTOF_DEL 0.213 5.119 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24 NET DELAY 0.678 5.797 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z SLICE_R53C69C CTOF_DEL 0.213 6.010 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34 NET DELAY 0.426 6.436 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C->top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z SLICE_R52C68D CTOF_DEL 0.213 6.649 8 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135 NET DELAY 0.652 7.301 8 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_82/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_84/CE} 0.000 7.301 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_82/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[4].SLICE_720/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.315, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 11.315 330 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_82/CLK top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_84/CLK} 0.000 11.315 1 Uncertainty -(0.000) 11.315 Setup time -(0.193) 11.122 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.122 Arrival Time -(7.300) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.821 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q (SLICE_R58C68A) Path End : {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_78/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_80/CE} (SLICE_R49C65D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.5% (route), 32.5% (logic) Clock Skew : 0.000 ns Setup Constraint : 8.888 ns Path Slack : 3.821 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK} 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_78/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_80/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[2].SLICE_718/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.145, "delay":0.411 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/F0" }, "arrive":3.358, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.589, "delay":0.231 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/F1" }, "arrive":3.802, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.394, "delay":0.592 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/F0" }, "arrive":4.607, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o19" }, "arrive":4.906, "delay":0.299 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1746/F0" }, "arrive":5.119, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7owz" }, "arrive":5.797, "delay":0.678 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1414/F1" }, "arrive":6.010, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_te[0]" }, "arrive":6.436, "delay":0.426 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.SLICE_1406/F0" }, "arrive":6.649, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.Ndpgbw4d0CK8JxgJG6b7Eo1CnJkreaFDr" }, "arrive":7.301, "delay":0.652 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.301, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q SLICE_R58C68A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154 NET DELAY 0.411 3.145 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R58C68A CTOF_DEL 0.213 3.358 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576 NET DELAY 0.231 3.589 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z SLICE_R59C68D CTOF_DEL 0.213 3.802 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157 NET DELAY 0.592 4.394 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_14/Z SLICE_R49C68C CTOF_DEL 0.213 4.607 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_25 NET DELAY 0.299 4.906 1 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_8/Z SLICE_R50C68C CTOF_DEL 0.213 5.119 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_24 NET DELAY 0.678 5.797 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_2/Z SLICE_R53C69C CTOF_DEL 0.213 6.010 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_34 NET DELAY 0.426 6.436 5 top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/C->top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_25/Z SLICE_R52C68D CTOF_DEL 0.213 6.649 8 top_reveal_coretop_instance/core0/trig_u/te_0/secured_signal_9_135 NET DELAY 0.652 7.301 8 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_78/CE top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_80/CE} 0.000 7.301 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_78/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IfbJrh5D2aL7lbqkJm2I[2].SLICE_718/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.315, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 11.315 330 {top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_78/CLK top_reveal_coretop_instance/core0/trig_u/te_0/secured_instance_9_80/CLK} 0.000 11.315 1 Uncertainty -(0.000) 11.315 Setup time -(0.193) 11.122 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.122 Arrival Time -(7.300) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.821 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q (SLICE_R58C68A) Path End : {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_96/CE} (SLICE_R56C73D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 7 Delay Ratio : 67.4% (route), 32.6% (logic) Clock Skew : 0.000 ns Setup Constraint : 8.888 ns Path Slack : 3.829 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK} 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "path_end": { "type":"pin", "log_name":"{top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_96/CE}", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1557/CE" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[3]" }, "arrive":3.145, "delay":0.411 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/B0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/F0" }, "arrive":3.358, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Nb4zmjgl42aqur7c" }, "arrive":3.589, "delay":0.231 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1241/F1" }, "arrive":3.802, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157", "phy_name":"top_reveal_coretop_instance.core0.wen" }, "arrive":4.394, "delay":0.592 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1752/F1" }, "arrive":4.607, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1A" }, "arrive":5.032, "delay":0.425 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1744/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.SLICE_1744/F1" }, "arrive":5.245, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_28", "phy_name":"top_reveal_coretop_instance.core0.trig_u.decode_u.Nfh7o1E" }, "arrive":5.822, "delay":0.577 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/A", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1514/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1514/F1" }, "arrive":6.035, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_55", "phy_name":"top_reveal_coretop_instance.core0.trig_u.wen_tu[3]" }, "arrive":6.609, "delay":0.574 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/C", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1548/C0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1548/F0" }, "arrive":6.822, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_146", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nn94vgdlaet6gy" }, "arrive":7.293, "delay":0.471 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.293, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/Q SLICE_R58C68A REG_DEL 0.307 2.734 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_154 NET DELAY 0.411 3.145 4 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/B->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_242/Z SLICE_R58C68A CTOF_DEL 0.213 3.358 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_576 NET DELAY 0.231 3.589 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/D->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_232/Z SLICE_R59C68D CTOF_DEL 0.213 3.802 5 top_reveal_coretop_instance/core0/tm_u/secured_signal_1_157 NET DELAY 0.592 4.394 5 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/B->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_17/Z SLICE_R49C68C CTOF_DEL 0.213 4.607 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_26 NET DELAY 0.425 5.032 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_6/Z SLICE_R50C69C CTOF_DEL 0.213 5.245 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_signal_15_28 NET DELAY 0.577 5.822 3 top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/A->top_reveal_coretop_instance/core0/trig_u/decode_u/secured_instance_15_3/Z SLICE_R57C69C CTOF_DEL 0.213 6.035 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_55 NET DELAY 0.574 6.609 3 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/C->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_39/Z SLICE_R54C71B CTOF_DEL 0.213 6.822 2 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_146 NET DELAY 0.471 7.293 2 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CE top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_96/CE} 0.000 7.293 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1557/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.315, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 11.315 330 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_95/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_96/CLK} 0.000 11.315 1 Uncertainty -(0.000) 11.315 Setup time -(0.193) 11.122 ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Required Time 11.122 Arrival Time -(7.292) ---------------------------------------- ------------------------ ------------- -------- --------------------- ------ Path Slack (Passed) 3.829 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_105/Q (SLICE_R54C70B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_94/DF (SLICE_R56C74B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 9 Delay Ratio : 58.5% (route), 41.5% (logic) Clock Skew : 0.000 ns Setup Constraint : 8.888 ns Path Slack : 3.899 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_104/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1151/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":2.427, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.427, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- --------- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 2.427 330 {top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_104/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_105/CLK} 0.000 2.427 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_105/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1151/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_94/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_816/DI1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_105/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1151/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_105/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1151/Q1" }, "arrive":2.734, "delay":0.307 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_81", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NhL6rK7kjDdr78xjJ[7]" }, "arrive":3.385, "delay":0.651 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_64/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_1645/B1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_64/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_1645/F1" }, "arrive":3.598, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_193", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NqdwgKi79qkEwzIGgJp37LH7" }, "arrive":3.607, "delay":0.009 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_65/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_1645/D0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_65/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_1645/F0" }, "arrive":3.820, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_160", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Nf4H8HwGahC46npu56oKrqFens24b5" }, "arrive":4.343, "delay":0.523 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/A0", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_84/A0" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_84/FCO" }, "arrive":4.659, "delay":0.316 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_109", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[4]" }, "arrive":4.659, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_83/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/COUT", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_83/FCO" }, "arrive":4.713, "delay":0.054 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_108", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NjzhBHr2GnijAwxcxurFentaE3a[6]" }, "arrive":4.713, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_74/CIN", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_82/FCI" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_74/S1", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.SLICE_82/F1" }, "arrive":4.993, "delay":0.280 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_129", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NbcCj0hnGbwlAcxGFJcDfs1p4kmCr" }, "arrive":5.792, "delay":0.799 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_51/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.SLICE_1434/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_51/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.te_0.IcJqK4vCkkI3A42Eer0fAh4HlL8ecj7IJ.SLICE_1434/F1" }, "arrive":6.005, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_162", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ne1IDB4vm9" }, "arrive":6.551, "delay":0.546 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_89/D", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba.SLICE_158/C1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_89/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.ImmjHJuzggHxba.SLICE_158/OFX0" }, "arrive":6.835, "delay":0.284 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_164", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.NKvlD" }, "arrive":7.260, "delay":0.425 }, { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_57/B", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_816/D1" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_57/Z", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_816/F1" }, "arrive":7.473, "delay":0.213 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_149", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_2.Ncd" }, "arrive":7.473, "delay":0.000 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":7.473, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- --------- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_105/CLK->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_105/Q SLICE_R54C70B REG_DEL 0.307 2.734 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_81 NET DELAY 0.651 3.385 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_64/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_64/Z SLICE_R50C74D CTOF_DEL 0.213 3.598 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_193 NET DELAY 0.009 3.607 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_65/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_65/Z SLICE_R50C74D CTOF_DEL 0.213 3.820 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_160 NET DELAY 0.523 4.343 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/A0->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_76/COUT SLICE_R51C76C C0TOFCO_DEL 0.316 4.659 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_109 NET DELAY 0.000 4.659 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_75/COUT SLICE_R51C76D FCITOFCO_DEL 0.054 4.713 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_108 NET DELAY 0.000 4.713 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_74/CIN->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_74/S1 SLICE_R51C77A FCITOF1_DEL 0.280 4.993 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_129 NET DELAY 0.799 5.792 3 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_51/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_51/Z SLICE_R50C66C CTOF_DEL 0.213 6.005 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_162 NET DELAY 0.546 6.551 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_89/D->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_89/Z SLICE_R51C74C CTOOF_DEL 0.284 6.835 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_164 NET DELAY 0.425 7.260 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_57/B->top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_57/Z SLICE_R56C74B CTOF_DEL 0.213 7.473 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_signal_12_149 NET DELAY 0.000 7.473 1 top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_94/DF 0.000 7.473 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_94/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_816/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":8.888, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":11.315, "delay":2.427 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":11.315, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- --------- --------------------- ------ CONSTRAINT 0.000 8.888 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 8.888 330 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 2.427 11.315 330 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_94/CLK top_reveal_coretop_instance/core0/trig_u/tu_2/secured_instance_12_94/CLK} 0.000 11.315 1 Uncertainty -(0.000) 11.315 Setup time -(-0.057) 11.372 ---------------------------------------- ------------------------ ------------- --------- --------------------- ------ Required Time 11.372 Arrival Time -(7.472) ---------------------------------------- ------------------------ ------------- --------- --------------------- ------ Path Slack (Passed) 3.899 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ########################################################## 3 Hold at Speed Grade m Corner at 0 Degrees 3.1 Endpoint slacks ------------------------------------------------------- Listing 10 End Points | Slack ------------------------------------------------------- top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_118/DF | 0.162 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_119/DF | 0.162 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/DF | 0.162 ns top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_125/DF | 0.163 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_114/DF | 0.163 ns top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/DF | 0.163 ns top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/DF | 0.163 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_115/DF | 0.166 ns top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_125/DF | 0.166 ns top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_127/DF | 0.167 ns ------------------------------------------------------- | Hold # of endpoints with negative slack: | 0 | ------------------------------------------------------- 3.2 Detailed Report XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX Detail report of critical paths XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ++++Path 1 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/Q (SLICE_R48C69A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_118/DF (SLICE_R48C69B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 33.2% (route), 66.8% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.162 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1657/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/CLK 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1657/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_118/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1655/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1657/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1657/Q0" }, "arrive":1.935, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_134", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nc8pHcEsIxIre" }, "arrive":2.021, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.021, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_134/Q SLICE_R48C69A REG_DEL 0.173 1.935 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_134 NET DELAY 0.086 2.021 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_118/DF 0.000 2.021 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_118/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1655/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_118/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_119/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.021 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.162 ++++ Path 2 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q (SLICE_R57C73C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_119/DF (SLICE_R57C73D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 33.2% (route), 66.8% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.162 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1524/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_140/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1524/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_119/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1516/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1524/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1524/Q0" }, "arrive":1.935, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_135", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.Nc8pHcEsIxIrf" }, "arrive":2.021, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.021, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_135/Q SLICE_R57C73C REG_DEL 0.173 1.935 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_135 NET DELAY 0.086 2.021 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_119/DF 0.000 2.021 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_118/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1516/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_118/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_119/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.021 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.162 ++++ Path 3 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/Q (SLICE_R52C77D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/DF (SLICE_R52C77A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 33.2% (route), 66.8% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.162 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_1444/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/Q0" }, "arrive":1.935, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_142", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NoxeB5huJp20Cs" }, "arrive":2.021, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.021, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/Q SLICE_R52C77D REG_DEL 0.173 1.935 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_142 NET DELAY 0.086 2.021 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/DF 0.000 2.021 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_1444/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_127/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.021 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.162 ++++ Path 4 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_141/Q (SLICE_R59C75D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_125/DF (SLICE_R59C75B) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 33.1% (route), 66.9% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.163 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_137/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1520/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_137/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_141/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1520/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_125/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1528/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_141/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1520/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1520/Q1" }, "arrive":1.936, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_141", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.NoxeB5huJp20Cr" }, "arrive":2.022, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.022, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_141/CLK->top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_141/Q SLICE_R59C75D REG_DEL 0.174 1.936 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_signal_11_141 NET DELAY 0.086 2.022 5 top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_125/DF 0.000 2.022 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_124/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_3.SLICE_1528/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_124/CLK top_reveal_coretop_instance/core0/trig_u/tu_3/secured_instance_11_125/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.022 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.163 ++++ Path 5 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/Q (SLICE_R53C74B) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_114/DF (SLICE_R53C74A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 33.1% (route), 66.9% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.163 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_94/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_748/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_94/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_748/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_114/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_1469/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_748/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_748/Q1" }, "arrive":1.936, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_130", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.Nc8pHcEsIxIra" }, "arrive":2.022, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.022, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_130/Q SLICE_R53C74B REG_DEL 0.174 1.936 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_130 NET DELAY 0.086 2.022 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_114/DF 0.000 2.022 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_114/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_1469/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_114/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_115/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.022 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.163 ++++ Path 6 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/Q (SLICE_R58C69A) Path End : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/DF (SLICE_R58C69A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 33.1% (route), 66.9% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.163 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1259/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1259/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/DF", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1259/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1259/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1259/Q1" }, "arrive":1.936, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_159", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Neo15zDnCIDBEJ3zgix1[2]" }, "arrive":2.022, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.022, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/Q SLICE_R58C69A REG_DEL 0.174 1.936 2 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_159 NET DELAY 0.086 2.022 2 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/DF 0.000 2.022 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1259/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_483/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_482/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.022 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.163 ++++ Path 7 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_465/Q (SLICE_R58C68B) Path End : top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/DF (SLICE_R58C68A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 33.1% (route), 66.9% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.163 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_464/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_621/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_464/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_465/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_465/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_621/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/DF", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/M0" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_465/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_621/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_465/Q", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_621/Q1" }, "arrive":1.936, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_156", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.Ne3nFILyd5q303pD9C8l[1]" }, "arrive":2.022, "delay":0.086 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.022, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_465/CLK->top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_465/Q SLICE_R58C68B REG_DEL 0.174 1.936 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_signal_2_156 NET DELAY 0.086 2.022 1 top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/DF 0.000 2.022 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK", "phy_name":"top_reveal_coretop_instance.core0.jtag_int_u.SLICE_1248/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_466/CLK top_reveal_coretop_instance/core0/jtag_int_u/secured_instance_2_467/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.022 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.163 ++++ Path 8 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/Q (SLICE_R48C71C) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_115/DF (SLICE_R48C71A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 34.2% (route), 65.8% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.166 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1667/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_132/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1667/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_115/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1686/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1667/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1667/Q0" }, "arrive":1.935, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_131", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.Nc8pHcEsIxIrb" }, "arrive":2.025, "delay":0.090 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.025, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_131/Q SLICE_R48C71C REG_DEL 0.173 1.935 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_131 NET DELAY 0.090 2.025 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_115/DF 0.000 2.025 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_114/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1686/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_114/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_115/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.025 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.166 ++++ Path 9 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q (SLICE_R48C68A) Path End : top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_125/DF (SLICE_R48C68D) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 34.2% (route), 65.8% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.166 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1674/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1674/Q0" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_125/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1662/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1674/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1674/Q0" }, "arrive":1.935, "delay":0.173 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_141", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.NoxeB5huJp20Cr" }, "arrive":2.025, "delay":0.090 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.025, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/CLK->top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_141/Q SLICE_R48C68A REG_DEL 0.173 1.935 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_signal_13_141 NET DELAY 0.090 2.025 5 top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_125/DF 0.000 2.025 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_124/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_1.SLICE_1662/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_124/CLK top_reveal_coretop_instance/core0/trig_u/tu_1/secured_instance_13_125/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.025 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.166 ++++ Path 10 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Path Begin : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/Q (SLICE_R52C77D) Path End : top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_127/DF (SLICE_R52C77A) Source Clock : clk150 (R) Destination Clock: clk150 (R) Logic Level : 1 Delay Ratio : 34.1% (route), 65.9% (logic) Clock Skew : 0.000 ns Hold Constraint : 0.000 ns Path Slack : 0.167 ns (Passed) Source Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/CLK" }, "path_sections":[ { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_142/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/CLK} 0.000 1.762 1 Data Path { "path_begin": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/Q1" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_127/DF", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_1444/M1" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/CLK" }, "pin1": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/Q", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.IbvqsJxiwzrIDIH7[12].SLICE_796/Q1" }, "arrive":1.936, "delay":0.174 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_143", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.NoxeB5huJp20Ct" }, "arrive":2.026, "delay":0.090 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":2.026, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/CLK->top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_143/Q SLICE_R52C77D REG_DEL 0.174 1.936 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_signal_10_143 NET DELAY 0.090 2.026 5 top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_127/DF 0.000 2.026 1 Destination Clock Path { "path_begin": { "type":"pin", "log_name":"OSCA001.OSCA_inst/HFCLKOUT", "phy_name":"OSCA001.OSCA_inst/HFCLKOUT" }, "path_end": { "type":"pin", "log_name":"top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/CLK", "phy_name":"top_reveal_coretop_instance.core0.trig_u.tu_4.SLICE_1444/CLK" }, "path_sections":[ { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":0.000, "delay":0.000 }, { "type":"net_delay", "net": { "log_name":"top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141", "phy_name":"clk150" }, "arrive":1.762, "delay":1.762 }, { "type":"site_delay", "pin0": { "log_name":"", "phy_name":"" }, "pin1": { "log_name":"", "phy_name":"" }, "arrive":1.762, "delay":0.000 } ] } Name Cell/Site Name Delay Name Incr Arrival/Required Time Fanout ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ CONSTRAINT 0.000 0.000 1 OSCA001.OSCA_inst/HFCLKOUT OSC_CORE_OSC_CORE_R1C137 CLOCK LATENCY 0.000 0.000 331 top_reveal_coretop_instance/core0/tm_u/secured_instance_1_106/secured_signal_0_141 NET DELAY 1.762 1.762 331 {top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_126/CLK top_reveal_coretop_instance/core0/trig_u/tu_4/secured_instance_10_127/CLK} 0.000 1.762 1 Uncertainty 0.000 1.762 Hold time 0.097 1.859 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Required Time -1.859 Arrival Time 2.026 ---------------------------------------- ------------------------ ------------- ----- --------------------- ------ Path Slack (Passed) 0.167 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ End of Detailed Report for timing paths +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ##########################################################

















































    Contents